GithubHelp home page GithubHelp logo

Hi there šŸ‘‹

  • šŸ”­ Iā€™m currently working on designing and implementing digital circuits for FPGA and ASIC systems...
  • šŸŒ± Iā€™m currently learning about the latest advances in computing hardware and algorithmic research...
  • šŸ‘Æ Iā€™m looking to collaborate on projects that leverage the power of FPGA and high-speed communication interfaces and protocols to create efficient and reliable systems...
  • šŸ’¬ Ask me about my experience with ASIC tape-outs, RTL coding, FPGA synthesis, or anything related to hardware architecture and design!...
  • šŸ“« How to reach me: Github, Linkedin

Hey šŸ‘‹, I'm Hossam Hassan!

Linkedin Badge Website Badge

Glad to see you here! Ā 

Skills

Programming Languages:

  • Verilog
  • SystemVerilog
  • VHDL
  • Python
  • C
  • Tcl
  • Bash

Hardware Platforms:

  • FPGAs
    • Xilinx FPGAs
    • Intel FPGAs
  • Accelerators cards
    • Xilinx Accelerators cards (U55, U280, U250)
    • Intel Accelerators cards (BittWare IA-840f)
  • Microcontroller
    • STM32 Microcontroller
    • Arduino
    • PIC
    • Cypress PSoC
  • Microprocessors
    • ARM
    • RISC-V
  • ASICs
    • MagnaChip 130nm
    • Samsung 65nm

Software and Tools:

  • Xilinx (Vitis HLS, Vivado, Vitis)
  • Intel (Quartus Prime, oneAPI)
  • MATLAB
  • Synopsys: Design Compiler, VCS, IC Compiler.
  • Cadence: Genus, Xcelium
  • Mentor Graphics ModelSim/Questa

Protocols:

  • AXI-4 MM, Lite, and Stream protocol
  • ABP bus protocol
  • PCIe interface

Other Skills and Technologies:

  • High-Level Synthesis (HLS) flow
  • High-speed communication protocols
  • Zero-Knowledge Proof (ZKP) related technology
  • Hardware Implementation of SHA-256 algorithm
  • Hardware Development of the Number Theoretic Transform (NTT)
  • Hardware Development of the Multi-Scalar Multiplication (MSM)
  • Hardware Development of FPGA-based Underwater Sonar Devices
  • Implementing Lossless and Near-Lossless Sonar audio and RAW Data compression using IMA ADPCM Algorithm
  • Implementing a modified version JPEG-LS (JPEG- Lossless image compression standard)
  • FPGA-to-FPGA Communication Using Xilinx Aurora IP
  • Ethernet-Based data transfer between PC Software and FPGA-Based Sonar Hardware Device using LWIP
  • Custom USB HID implementation
  • Debugging and redesigning a VHDL code for variable frequency generation and control of the Sonar Acoustic Camera Device based on Xilinx Artix-7 FPGA
  • MATLAB for various tasks, including verification of I2S protocol and FFT output comparison
  • RTL design and verification of various digital circuits and systems
  • FPGA and GPU acceleration for ZKP systems

Talking about Personal Stuffs:

  • šŸ‘ØšŸ»ā€šŸ’» Ā  Most of my projects are available on Github.
  • šŸ“« Ā  How to reach me: [email protected].

My Absolute Favorites:

  • šŸ’» Ā  I love exploring new tech stack and building cool stuffs.

  • šŸ“° Ā  Reading & writing tech blogs whenever possible.

  • šŸ• Ā  Hackathons, meetups & tech events.

Show some ā¤ļø by starring some of the repositories!

Hossam Hassan's Projects

ai-chip icon ai-chip

A list of ICs and IPs for AI, Machine Learning and Deep Learning.

alveo-pynq icon alveo-pynq

Introductory examples for using PYNQ with Alveo

anbox-arm64 icon anbox-arm64

Anbox is a container-based approach to boot a full Android system on a regular GNU/Linux system

async_fifo icon async_fifo

A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog

asyncnoc-2011 icon asyncnoc-2011

Automatically exported from code.google.com/p/asyncnoc-2011

auto_bus icon auto_bus

This tool generate bus (in Verilog) and top level logic automatically.

awesome-cheatsheets icon awesome-cheatsheets

šŸ‘©ā€šŸ’»šŸ‘Øā€šŸ’» Awesome cheatsheets for popular programming languages, frameworks and development tools. They include everything you should know in one single file.

awesome-plonk icon awesome-plonk

A curated list of awesome things related to plonk proof system

axi icon axi

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

ba_ps-pl-communication icon ba_ps-pl-communication

bachelor thesis about PS-PL Communication on a SoC. Working on the MicroZed-Board with Vivado and PetaLinux.

barvinn icon barvinn

BARVINN: A Barrel RISC-V Neural Network Accelerator

bedrock icon bedrock

LBNL RF controls support HDL libraries. Mirroring LBNL's internal Gitlab repository, which is CI enabled

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    šŸ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. šŸ“ŠšŸ“ˆšŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ā¤ļø Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.