GithubHelp home page GithubHelp logo

marplyn / processor-systems-architecture Goto Github PK

View Code? Open in Web Editor NEW
1.0 1.0 0.0 303 KB

МИЭТ, курс "Архитектуры процессорных систем"

Verilog 49.98% Tcl 50.02%
fgpa verilog vhdl

processor-systems-architecture's Introduction

Цикл лабораторных работ курса "Архитектуры процессорных систем"

Обзор лабораторных работ

Курс Архитектур процессорных систем включает в себя цикл из 4 лабораторных работ, в течение которых используя язык описания аппаратуры Verilog HDL на основе FPGA (ПЛИС, программируемая логическая интегральная схема), с нуля, последовательно, создается программируемое устройство – процессор.

1. Сумматор. Verilog HDL (Adder)

На первой лабораторной работе изучаются базовые конструкции языка описания аппаратуры Verilog HDL, с помощью которого разрабатывается цифровой сумматор из примитивных логических вентилей, который, в последствии, конфигурируется в ПЛИС и его работа проверяется на отладочном стенде.

2. Арифметико-логическое устройство (ALU)

На второй лабораторной изучаются новые конструкции языка Verilog HDL, на основе которых разрабатывается блок арифметико-логического устройства (АЛУ). АЛУ - это устройство, на входы которого подаются операнды, над которыми нужно выполнить некоторую операцию (сложение, вычитание и тому подобное) и код операции, которую нужно выполнить, а на выходе появляется результат этой операции. Проще говоря АЛУ - это "калькулятор" процессора.

Для проверки правильной работоспособности АЛУ на языке Verilog HDL пишется testbench (тестовое окружение), которое автоматически проверяет корректность его реализации.

3. Регистровый файл и память (RF)

На третьей лабораторной разрабатываются элементы памяти для будущего процессора: память команд и регистровый файл. В памяти команд будет храниться программа, которую будет выполнять процессор. Регистровый файл - это маленькая память с данными, которые могут быть поданы непосредственно на АЛУ.

4. Простейшее программируемое устройство (PPD)

В рамках четвертой лабораторной работы из реализованных блоков собирается программируемое устройство с архитектурой CYBERcobra 3000 Pro 2.0, для которого пишется программа в машинных кодах, а результат запускается и проверяется на отладочном стенде с ПЛИС.

processor-systems-architecture's People

Contributors

marplyn avatar

Stargazers

 avatar

Watchers

 avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.