GithubHelp home page GithubHelp logo

azaparov / xc7k325t-blinky-nextpnr Goto Github PK

View Code? Open in Web Editor NEW

This project forked from openxc7/xc7k325t-blinky-nextpnr

0.0 0.0 0.0 59 KB

License: BSD 3-Clause "New" or "Revised" License

Tcl 23.14% Verilog 12.13% Makefile 64.73%

xc7k325t-blinky-nextpnr's Introduction

Full Open Source Blinky on XC7K325T using yosys+nextpnr-xilinx

NOTE: This repository is now somewhat obsolete:

Since the creation of the toolchain installer, the Makefile used here will not work probably with the snap-packaged toolchain, because the Makefile here builds and installs its own toolchain instead in different directories.

For examples of Makefiles using the packaged toolchain, see demo-projects

Blinky on QMTech XC7K325T Core Board (xc7k325tffg676-1)

qmtech_blinky

Blinky on Digilent Genesys2 (xc7k325tffg900-2)

genesys2_blinky

Blinky on the AliExpress STLV7325 board

stlv7325_blinky

  • works with differential clock input on the high performance banks

Status

  • works on the QMTech XC7K325T board
  • works with differential clock input on the high performance banks on the STLV7325 board.
  • limited functionality on Digilent Genesys2 and Memblaze PBlaze 3 SSD

How to reproduce

  1. Install required software
    • sudo apt install libftdi1-dev libudev-dev git cmake build-essential tclsh clang tcl-dev libreadline-dev flex bison python3-dev libboost-all-dev libqt5-base-dev-tools libeigen3-dev python3 python3-pip python3-yaml pypy3 pkg-config libqt5opengl5-dev
    • clone/build/install yosys from https://github.com/YosysHQ/yosys or download a release from https://github.com/YosysHQ/oss-cad-suite-build/releases note: test have been performed with Yosys 0.13+28 (git sha1 bf85dfee5, gcc 10.2.1-6 -fPIC -Os)
  2. git clone --recurse-submodules https://github.com/kintex-chatter/xc7k325t-blinky-nextpnr.git
  3. cd xc7k325t-blinky-nextpnr
  4. make BOARD=qmtech setup
  5. make BOARD=qmtech all

Note: Every time you change the installation of nextpnr-xilinx you will have to regenerate the chipdb, because the chipdb does not seem to be compatible between different binaries of nextpnr-xilinx

xc7k325t-blinky-nextpnr's People

Contributors

hansfbaier avatar rwhitby avatar unbtorsten avatar jrrk2 avatar chiplet avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.